Global Information Lookup Global Information

IEEE 1164 information


Character literals[1]
Character Value
'U' uninitialized
'X' strong drive, unknown logic value
'0' strong drive, logic zero
'1' strong drive, logic one
'Z' high impedance
'W' weak drive, unknown logic value
'L' weak drive, logic zero
'H' weak drive, logic one
'-' don't care

The IEEE 1164 standard (Multivalue Logic System for VHDL Model Interoperability) is a technical standard published by the IEEE in 1993. It describes the definitions of logic values to be used in electronic design automation, for the VHDL hardware description language.[2] It was sponsored by the Design Automation Standards Committee of the Institute of Electrical and Electronics Engineers (IEEE). The standardization effort was based on the donation of the Synopsys MVL-9 type declaration.

The primary data type std_ulogic (standard unresolved logic) consists of nine character literals (see table on the right).[1] This system promoted a useful set of logic values that typical CMOS logic designs could implement in the vast majority of modeling situations, including:

  • 'Z' literal to make tri-state buffer logic easy
  • 'H' and 'L' weak drives to permit wired-AND and wired-OR logic.
  • 'U' for default value for all object declarations so that during simulations uninitialized values are easily detectable and thus easily corrected if necessary.

In VHDL, the hardware designer makes the declarations visible via the following library and use statements:

library IEEE;
use IEEE.std_logic_1164.all;
  1. ^ a b "VHDL and Logic Synthesis". Retrieved 22 January 2010.
  2. ^ "IEEE 1164-1993 – IEEE Standard Multivalue Logic System for VHDL Model Interoperability (Std_logic_1164)". standards.ieee.org. Retrieved 25 September 2018.

and 25 Related for: IEEE 1164 information

Request time (Page generated in 0.8159 seconds.)

IEEE 1164

Last Update:

The IEEE 1164 standard (Multivalue Logic System for VHDL Model Interoperability) is a technical standard published by the IEEE in 1993. It describes the...

Word Count : 842

VHDL

Last Update:

rules. In addition to IEEE standard 1164, several child standards were introduced to extend functionality of the language. IEEE standard 1076.2 added...

Word Count : 4060

Verilog

Last Update:

competing VHDL, a dedicated standard for multi-valued logic exists as IEEE 1164 with nine levels. System tasks are available to handle simple I/O and...

Word Count : 4212

Logic level

Last Update:

chosen for implementation convenience (see Karnaugh map § Don't cares). IEEE 1164 defines 9 logic states for use in electronic design automation. The standard...

Word Count : 1234

WiMAX

Last Update:

(WiMAX) is a family of wireless broadband communication standards based on the IEEE 802.16 set of standards, which provide physical layer (PHY) and media access...

Word Count : 6065

Numeric std

Last Update:

the top of a design unit: library ieee; use ieee.std_logic_1164.all; -- standard unresolved logic UX01ZWLH- use ieee.numeric_std.all; -- for the signed...

Word Count : 390

Andreas Winter

Last Update:

Quantum Lovász Number". IEEE Transactions on Information Theory. 59 (2). Institute of Electrical and Electronics Engineers (IEEE): 1164–1174. arXiv:1002.2514...

Word Count : 413

IonQ

Last Update:

March 2013). "Scaling the Ion Trap Quantum Processor". Science. 339 (6124): 1164–1169. Bibcode:2013Sci...339.1164M. doi:10.1126/science.1231298. PMID 23471398...

Word Count : 1003

Hardware description language

Last Update:

behavioral and structural". Example of dataflow of VHDL: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY not1 IS PORT( a : IN STD_LOGIC; b : OUT STD_LOGIC;...

Word Count : 3621

Design Automation Standards Committee

Last Update:

of Electrical and Electronics Engineers (IEEE) Computer Society and Standards Association. It oversees IEEE Standards that are related to computer-aided...

Word Count : 726

Flowers of sulfur

Last Update:

Tubercle Bacilli". American Review of Tuberculosis. 29 (6): 650–651. doi:10.1164/art.1934.29.6.650 (inactive 31 January 2024). ISSN 0096-0381.{{cite journal}}:...

Word Count : 1199

Electromigration

Last Update:

reliability of near-bamboo interconnects". Journal of Materials Research. 13 (5): 1164–1170. Bibcode:1998JMatR..13.1164K. doi:10.1557/JMR.1998.0166. Lienig, J.:...

Word Count : 4570

SQUID

Last Update:

SQUID in twisted bilayer graphene". Nature Nanotechnology. 17 (11): 1159–1164. arXiv:2201.13276. Bibcode:2022NatNa..17.1159P. doi:10.1038/s41565-022-01222-0...

Word Count : 2975

MyHDL

Last Update:

endmodule The generated VHDL code looks as follows: library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_06...

Word Count : 462

Confidence interval

Last Update:

confidence intervals. Psychonomic Bulletin & Review Vol. 21, No. 5, pp. 1157-1164. [1] Scientists' grasp of confidence intervals doesn't inspire confidence...

Word Count : 4570

David Comer

Last Update:

amplitude modulators," IEEE Transactions on Circuits and Systems, Vol. CAS-34, No. 10, Oct. 1987, pp. 1161–1164. "Fellow Class of 2005". IEEE. Retrieved 30 October...

Word Count : 551

Vlaardingen

Last Update:

ropes were made). The Grote Kerk was probably established between 1156 and 1164 and has been expanded, damaged and rebuilt. To the north of the old harbour...

Word Count : 1952

Intermittent mandatory ventilation

Last Update:

mechanical ventilation". Am J Respir Crit Care Med. 150 (4): 896–903. doi:10.1164/ajrccm.150.4.7921460. PMID 7921460. Esteban, A; Frutos, F; Tobin, MJ; Alía...

Word Count : 1539

List of common misconceptions

Last Update:

rhinovirus-2". The American Review of Respiratory Disease. 141 (2): 352–56. doi:10.1164/ajrccm/141.2.352. PMID 2154152. b. Patricia Queen Samour; Kathy King Helm...

Word Count : 56958

Citation

Last Update:

citation statistics and journal impact factors". IEEE Transactions on Software Engineering. 47 (6): 1164–1183. doi:10.1109/TSE.2019.2915065. Heneberg, P...

Word Count : 6485

Modes of mechanical ventilation

Last Update:

utilization review". Am J Respir Crit Care Med. 161 (5): 1450–8. doi:10.1164/ajrccm.161.5.9902018. PMID 10806138. Donn SM (2009). "Neonatal ventilators:...

Word Count : 5507

Mu Us Desert

Last Update:

to 2015 in the Mu Us Sandy Land, Northern China". Sustainability. 9 (7): 1164. doi:10.3390/su9071164. ISSN 2071-1050. Chen, Yu Fu; Yu, Fei Hai; Dong, Ming...

Word Count : 1456

Age of Earth

Last Update:

Principles of Stratigraphy. Blackwell Publishing. p. 116. ISBN 978-1-4051-1164-5. Fuller, J. G. C. M. (2007-07-17). "Smith's other debt, John Strachey,...

Word Count : 5455

Air pollution

Last Update:

dioxide". American Review of Respiratory Disease. 136 (5): 1117–21. doi:10.1164/ajrccm/136.5.1117. PMID 3674573. Singh R, Kumar S, Karmakar S, Siddiqui AJ...

Word Count : 23275

Schizotypal personality disorder

Last Update:

schizophrenia-spectrum patients during sensorimotor gating". NeuroImage. 42 (3): 1164–1177. doi:10.1016/j.neuroimage.2008.05.039. PMC 2548278. PMID 18588988. Siever...

Word Count : 14848

PDF Search Engine © AllGlobal.net