Global Information Lookup Global Information

NCSim information


Incisive
Developer(s)Cadence Design Systems
Operating systemLinux
TypeSimulator
Licenseproprietary
WebsiteCadence Functional Verification

Incisive is a suite of tools from Cadence Design Systems related to the design and verification of ASICs, SoCs, and FPGAs. Incisive is commonly referred to by the name NCSim in reference to the core simulation engine. In the late 1990s, the tool suite was known as ldv (logic design and verification).

Depending on the design requirements, Incisive has many different bundling options of the following tools:

Tool command description
NC Verilog ncvlog Compiler for Verilog 95, Verilog 2001, SystemVerilog and Verilog-AMS
NC VHDL ncvhdl Compiler for VHDL 87, VHDL 93
NC SystemC ncsc Compiler for SystemC
NC Elaborator ncelab Unified linker / elaborator for Verilog, VHDL, and SystemC libraries. Generates a simulation object file referred to as a snapshot image.
NC Sim ncsim Unified simulation engine for Verilog, VHDL, and SystemC. Loads snapshot images generated by NC Elaborator. This tool can be run in GUI mode or batch command-line mode. In GUI mode, ncsim is similar to the debug features of ModelSim's vsim.
Irun irun Executable for single step invocation. Calls ncvlog/ncvhdl/ncsc automatically depending on given files and their extensions. Afterwards ncelab and ncsim are executed.
Sim Vision simvision A standalone graphical waveform viewer and netlist tracer. This is very similar to Novas Software's Debussy.

and 5 Related for: NCSim information

Request time (Page generated in 0.502 seconds.)

NCSim

Last Update:

of ASICs, SoCs, and FPGAs. Incisive is commonly referred to by the name NCSim in reference to the core simulation engine. In the late 1990s, the tool...

Word Count : 71

ModelSim

Last Update:

SystemVerilog PSL SystemC Intel Quartus Prime Icarus Verilog List of HDL simulators NCSim Verilator Xilinx ISE Xilinx Vivado "ModelSim". Handbook of Digital Techniques...

Word Count : 324

Incisive

Last Update:

Media, a publisher of business media, based in London, United Kingdom. NCSim, a suite of tools from Cadence Design Systems related to the design and...

Word Count : 129

List of HDL simulators

Last Update:

own compiled-language simulator, NC-Verilog. The modern version of the NCsim family, called Incisive Enterprise Simulator, includes Verilog, VHDL, and...

Word Count : 134

Vivaldi coordinates

Last Update:

(CoNEXT'06). Simulator for Decentralized Network Coordinate Algorithms (NCSim) Practical, Distributed Network Coordinates (original paper) Azureus Wiki...

Word Count : 436

PDF Search Engine © AllGlobal.net